EDAⅡ实验报告--EDAⅡ--多功能数字钟设计实验报告.docx

上传人:王** 文档编号:851530 上传时间:2024-01-29 格式:DOCX 页数:37 大小:1.07MB
下载 相关 举报
EDAⅡ实验报告--EDAⅡ--多功能数字钟设计实验报告.docx_第1页
第1页 / 共37页
EDAⅡ实验报告--EDAⅡ--多功能数字钟设计实验报告.docx_第2页
第2页 / 共37页
EDAⅡ实验报告--EDAⅡ--多功能数字钟设计实验报告.docx_第3页
第3页 / 共37页
EDAⅡ实验报告--EDAⅡ--多功能数字钟设计实验报告.docx_第4页
第4页 / 共37页
EDAⅡ实验报告--EDAⅡ--多功能数字钟设计实验报告.docx_第5页
第5页 / 共37页
EDAⅡ实验报告--EDAⅡ--多功能数字钟设计实验报告.docx_第6页
第6页 / 共37页
EDAⅡ实验报告--EDAⅡ--多功能数字钟设计实验报告.docx_第7页
第7页 / 共37页
EDAⅡ实验报告--EDAⅡ--多功能数字钟设计实验报告.docx_第8页
第8页 / 共37页
EDAⅡ实验报告--EDAⅡ--多功能数字钟设计实验报告.docx_第9页
第9页 / 共37页
EDAⅡ实验报告--EDAⅡ--多功能数字钟设计实验报告.docx_第10页
第10页 / 共37页
亲,该文档总共37页,到这儿已超出免费预览范围,如果喜欢就下载吧!
资源描述

《EDAⅡ实验报告--EDAⅡ--多功能数字钟设计实验报告.docx》由会员分享,可在线阅读,更多相关《EDAⅡ实验报告--EDAⅡ--多功能数字钟设计实验报告.docx(37页珍藏版)》请在优知文库上搜索。

1、EDAIl实验报告专业:轨道交通信号与控制题目:EDA11多功能数字钟设计实验报告实验报告中文摘要本实验利用QUartuSH软件,结合数字逻辑电路的知识,设计一个多功能数字钟并下载到SnIartSoPC系统中。实验过程首先分析了设计要求,根据要求将整个系统分为几大模块,分别为分频、计时、显示、校分、校时、清零、保持、报时、消颤模块。通过分析每个模块的功能要求,进行相应的电路设计、封装,并最终整合在一起,完成所需功能。本实验中还增加了星期的计数和校正功能。本报告的内容包括整个系统的设计要求说明和整体电路的工作原理,以及各模块的设计原理和调试、编译、仿真、下载的结果。最后对实验内容进行了总结,并对

2、过程中出现的问题提出了解决方案和感想。关键词多功能数字钟QuartusII数字逻辑电路实验报告外文摘要TitleEDAH-ThedesignOfamultifunctionaldigitalCIoCkSyStenIAbstractInthisexpriment,QuartusIIwasusedtodesignamultifunctionaldigitalclocksystem,combinedwiththeknowledgeofdigitallogiccircuit.AndthentheclocksystemwasdnownloadedtotheSmartSOPCsystem.Duringth

3、eprocess,Ifirstdividedthesystemintoseveralmodules,whichrespectivelyarefrequencydividingmodule,timingmodule,displayingmodule,minutescorrectingmodule,hourscorrectingmodule,resettingmodule,maintainingmodule,timesignalmoduleandchattereliminationmodule.Accordingtoanalysetherequirmentsofeverymodule,Idesig

4、nedpackagedtheCorresdingcircuits,andintegratedallthemodulestogether.Ialsodesignedaweekcountingandcorrectingmodule,whichwasaddedtothesystem.Thisreportcontainstheexplanationoftotalsystemandworkingprinciple,togetherwiththeresultofdebugging,compiling,simulationanddownloading.Intheend,Isummarizedthewhole

5、content,andputforwardthesolutionofarisedproblems.KeywordsAmultifunctionaldigitalclockQuartusIIDigitallogiccircuit目次1实验内容及要求11.1 实验内容11.2 题目简介11.3 设计要求12整体电路设计23各模块电路设计及编译仿真43.1 分频电路43.2 计时电路83.3 校正电路133.4 清零电路163.5 保持电路173.6 显不电路173.7 报时电路193.8 消颤电路204设置并编译下载23结论25致谢26参考文献26第页EDA2实验报告1实验内容及要求1.1实验内容

6、利用QuartusII软件设计一个数字钟,并下载到SmartSOPC实验系统中。1.2题目简介设计一个数字计时器,可以完成00:00:00到23:59:59的计时功能,并在控制电路的作用下具有保持、清零、快速校时、快速校分、整点报时等功能。1.3设计要求1.3.1 设计的基本要求1、能进行正常的时、分、秒计时功能;2、分别由六个数码管显示时分秒的计时;3、Kl是系统的使能开关(K尸0正常工作,K尸1时钟保持不变);4、L是系统的清零开关(r二0正常工作,K=I时钟的分、秒全清零);5、(是系统的校分开关(=0正常工作,&二1时可以快速校分);6、凡是系统的校时开关(KLO正常工作,KLI时可以

7、快速校时);1.3.2 设计提高部分要求1、使时钟具有整点报时功能(当时钟计到5953”时开始报时,在5953”,59,55”,59,57”时报时频率为512Hz,5959”时报时频率为IKHz,);2、闹表设定功能;3、自己添加其他功能;2整体电路设计图2.1整体电路设计思路图题由分频电路和48MHZ的脉冲信号组成脉冲发生电路,而总分频电路又由2分频、48分频、500分频和1000分频电路组成,可以产生1HZ2HZ、500HZIKHZ的脉冲信号,供计时、校分和报时使用。其中,2分频电路由一个D触发器及一个非门实现,其它三种分频电路都是由74160置数法构成的计数器。主计时电路由模60、模24

8、和模8计数器组成。秒位的模60计数器从0开始计数至59后,置数为0重新计数并产生一个进位信号给分位的模60计数器。分位的模60计数器也从0开始计数,至59时置数为0重新开始并产生一个进位信号给小时位的模24计数器。小时位的模24计数器从0开始计数,至23时置数为0重新开始并产生一个进位信号给星期位的模8计数器。星期位的模8计数器从0开始计数,1、2、3、4、5、6、8后从1开始。校正电路是与计数电路紧密相关的电路模块。以校分为例,其原理为当校分开关为1时,给分位的模60计数器提供2HZ的校分信号;当校分开关为0时,若秒位产生了进位信号,则给分位提供IHZ的正常计数信号;若校分开关为0且秒位没有

9、进位信号,则不提供任何脉冲信号。校时和校星期同理。清零电路的开关与计时电路中四个计数器的清零端相连接,即可实现清零功能。报时电路的原理为,当计时电路计数至5953”、59,55”、59,57”时,通过与门提供500HZ的脉冲信号;当计数至5959”时,通过与门提供IKHZ的脉冲信号。显示电路通过74161模8计数器的计数从O计数至7,其输出端作为数据选择器74151的控制端,也作为译码器74138的输入端,以控制LED灯的使能端。当计数器从O开始计数到某一数时,4片数据选择器74151同时选取对应位,组成计时器该位的BCD码,通过显示译码器7447控制相应LED灯的显示数字。提供的脉冲信号为I

10、KHz,由于人眼的视觉停留,会感到七个数码管是同时显示的。I, 八fegmngqfen9w9SCkan mdrnhembockg jeofenkg* 8h*g M JeaXqkgsckn mclm hckn baochikg aofenkgin iaoshikgin aoxqkgn 1hz 2hz对4 1 sb4 1 ma(4 1 mb4 1 ha4 1 hb4 1 q(4 1J.bfinFaosMcg4h=-1-OUrPIH 、48tzZongfenpinIkhN 500, 2x IhZx KqingingQnelngk9 qinglng sqinglng mqmgling hqinglg图

11、2.2实验电路设计总图题3各模块电路设计及编译仿真3.1分频电路3.1.1二分频电路2分频电路由一个D触发器及一个非门实现,通过将D触发器的Q和0相连,在Q端即得到一连串的2分频信号。1 .电路图2 .封装图2fenpinelkout:inst1图311.2二分频电路封装图题图3.L1.3二分频电路仿真波形图题48分频电路通过74160的置数法实现,相对于模48计数器,以四位二进制计数法,当第一片74160计数至9即Iool时,向第二片74160进位;当两片74160计数至47时,置数为OOO0。将其输入的脉冲信号48分频。1.电路图ND22PhMn k k74160-CLDN A1aX 0B

12、QA1b*o-CQB1c?-XD ENTQCQDIdVCCFENPRCOYCLRNClkCLK-X头jst COUNTER图3.12148分频电路图题2.封装图48fenpinelkout图3122 48分频电路封装图题Value a17.98 r图3123 48分频电路仿真波形图题inst2Nameelkout3.仿真波形图3.1.3500分频电路500分频电路通过74160的置数法实现,相对于模500计数器,以四位二进制计数法,当两片74160计数至499时,置数为OOO0。将其输入的脉冲信号500分频。1 .电路图图3.1.3.1 500分频电路图题2 .封装图500fenpinelko

13、utinst33.仿真波形图图3.1.3.2 500分频电路封装图题图3.1.3.3 500分频电路仿真波形图题3 .1.4IoOO分频电路100o分频电路通过74160的置数法实现,相对于模100O计数器,以四位二进制计数法,当两片74160计数至999时,置数为OoO0。将其输入的脉冲信号IoOo分频。1.电路图.由 COUNTER2dandl XENP CLRNCLKRCOEK2 COUNTER图 3.1.4.IIOOO分频电路图题2.封装图100Ofenpinelkoutinst4图3.1.4.21000分频电路封装图题3.仿真波形图Value a20.88 r20. 875 ns)p

14、s2.535.us7.6us10.24us12.us15.36us17.92us20.48us23.04us25.s28.1(oikao0BSBsRBSSBSHSBBHRoutA0I11;jI1一一|图3.1.4.31000分频电路仿真波形图题3.1.5总分频电路实验箱提供48MHZ的脉冲信号,将其与48分频和两个1000分频相连接,将48MHZ的脉冲48M分频,可得到IHZ的脉冲信号。同理,将其与48分频、100O分频、500分频相连接可得2HZ的脉冲;将其与48分频、1000分频、2分频相连接可得500HZ的脉冲;将其与48分频、100O分频连接可得IMHZ的脉冲。1 .电路图图3.1.5.1总分频电路图题2 .封装图图3.1.5.2总分频电路封装图题3 .2计时电路计时电路利用两个模60计数器为秒位和分位计数,一个模24计数器为小时位计数,一个模8计数器为星期位计数。4 .2.1模60计时电路用74160设模60计数器,从。开始以四位二进制计数,当各位计数至9时向十位提供一个进位信号给十位数。当各位为9,十位为5时,置数为0。从0到59循环计数。1.电路图en厂;二:cr三SEHgiJY;.VCC.;

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 通信/电子 > 电子电气自动化

copyright@ 2008-2023 yzwku网站版权所有

经营许可证编号:宁ICP备2022001189号-2

本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!