数电课程设计基于FPGA的数字时钟的设计.docx

上传人:王** 文档编号:1040419 上传时间:2024-03-16 格式:DOCX 页数:23 大小:661.37KB
下载 相关 举报
数电课程设计基于FPGA的数字时钟的设计.docx_第1页
第1页 / 共23页
数电课程设计基于FPGA的数字时钟的设计.docx_第2页
第2页 / 共23页
数电课程设计基于FPGA的数字时钟的设计.docx_第3页
第3页 / 共23页
数电课程设计基于FPGA的数字时钟的设计.docx_第4页
第4页 / 共23页
数电课程设计基于FPGA的数字时钟的设计.docx_第5页
第5页 / 共23页
数电课程设计基于FPGA的数字时钟的设计.docx_第6页
第6页 / 共23页
数电课程设计基于FPGA的数字时钟的设计.docx_第7页
第7页 / 共23页
数电课程设计基于FPGA的数字时钟的设计.docx_第8页
第8页 / 共23页
数电课程设计基于FPGA的数字时钟的设计.docx_第9页
第9页 / 共23页
数电课程设计基于FPGA的数字时钟的设计.docx_第10页
第10页 / 共23页
亲,该文档总共23页,到这儿已超出免费预览范围,如果喜欢就下载吧!
资源描述

《数电课程设计基于FPGA的数字时钟的设计.docx》由会员分享,可在线阅读,更多相关《数电课程设计基于FPGA的数字时钟的设计.docx(23页珍藏版)》请在优知文库上搜索。

1、基于FPGA的数字时钟的设计课题:基于FPGA的数字时钟的设计学院:电气信息工程学院专业:测量控制与仪器班级:08测控班姓名:潘志东学号:08314239合作者姓名:颜志林2010年12月12日综述近年来随着数字技术的迅速开展,各种中、大规模集成电路在数字系统、控制系统、信号处理等方面都得到了广泛的应用。这就迫切要求理工科大学生熟悉和掌握常用中、大规模集成电路功能及其在实际中的应用方法,除通过实验教学培养数字电路的根本实验方法、分析问题和故障检查方法以及双踪示波器等常用仪器使用方法等根本电路的根本实验技能外,还必须培养大学生工程设计和组织实验能力。本次课程设计的目的在于培养学生对根本电路的应用

2、和掌握,使学生在实验原理的指导下,初步具备根本电路的分析和设计能力,并掌握其应用方法;自行拟定实验步骤,检查和排除故障、分析和处理实验结果及撰写实验报告的能力。综合实验的设计目的是培养学生初步掌握小型数字系统的设计能力,包括选择设计方案,进行电路设计、安装、调试等环节,运用所学知识进行工程设计、提高实验技能的实践。数字电子钟是一种计时装置,它具有时、分、秒计时功能和显示时间功能;具有整点报时功能。本次设计我查阅了大量的文献资料,学到了很多关于数字电路方面的知识,并且更加稳固和掌握了课堂上所学的课本知识,使自己对数字电子技术有了更进一步的认识和了解。1、课题要求1.1 课程设计的性质与任务本课程

3、是电子与信息类专业的专业的专业根底必修课一一“数字电路”的配套实验课程。目的在于培养学生的理论联系实际,分析和解决问题的能力。通过本课程设计,使学生在理论设计、计算机仿真、指标调测、故障排除等方面得到进一步的训练,加强学生的实践能力。学生通过设计、仿真、调试、撰写设计报告等过程,培养学生的动手能力和严谨的工作作风。1.2 课程设计的根本技术要求1)根据课题要求,复习稳固数字电路有关专业根底知识;2)掌握数字电路的设计方法,特别是熟悉模块化的设计思想;3)掌握QUARTUS-2软件的使用方法;4)熟练掌握EDA工具的使用,特别是原理图输入,波形仿真,能对仿真波形进行分析;5)具备EDA技术根底,

4、能够熟练使用VHDL语言进行编程,掌握层次化设计方法;6)掌握多功能数字钟的工作原理,学会不同进制计数器及时钟控制电路的设计方法;7)能根据设计要求对设计电路进行仿真和测试;8)掌握将所设计软件下载到FPGA芯片的下载步骤等等。9)将硬件与软件连接起来,调试电路的功能。1.3 课程设计的功能要求根本功能:能进行正常的时、分、秒计时功能,分别由6个数码管显示24小时,60分钟,60秒钟的计数器显示。附加功能:1)能利用硬件局部按键实现“校时”“校分”“清零”功能;2)能利用蜂鸣器做整点报时:当计时到达5959时开始报时,鸣叫时间1秒钟;3)定时闹铃:本设计中设置的是在七点时进行闹钟功能,鸣叫过程

5、中,能够进行中断闹铃工作。本人工作:负责软件的编程与波形的仿真分析。2、方案设计与分析1.1 设计方案1、时钟功能,具有显示时、分、秒的功能;2、具有整点报时功能,在整点时使用蜂鸣器进行报时,具有闹钟功能,鸣叫过程中,具有中断闹铃功能。1.2 设计要点数字钟一般是由振荡器、分频器、计数器、译码器、显示器等几局部组成。这些都是数字电路中应用最广泛的根本电路,本设计分模块设计实现各局部功能,采用软件编程控制FPGA芯片内部产生振动周期为IS的脉冲。并将信号送入计数器进行计算,并把累加的结果以“时”、“分”、“秒”的数字显示出来。“秒”的显示由两级计数器和译码器组成的六十进制计数电路实现;“分”的显

6、示电路“秒”相同,“时”的显示由两级计数器和译码器组成的二十四进制电路来实现。所有计时结果由六位数码管显示。1.3 工作原理数字电子钟由振荡器、分频器计数器、译码显示、报时等电路组成。振荡器产生稳定的高频脉冲信号,作为数字钟的时间基准,然后经过分频器输出标准秒脉冲。秒计数器满60后向分计数器进位,分计数器满60后向小时计数器进位,小时计数器按照“24翻1”规律计数。计满后各计数器清零,重新计数。计数器的输出分别经译码器送数码管显示,计时出现误差时,可以用校时电路“校时”“校分”“清零二秒脉冲可以通过分频电路得到。通过报时设计模块可以实现整点报时及定时闹铃,译码显示由七段译码器完成,显示由数码管

7、构成,采用的是动态显示方式。数码管动态显示:动态扫描电路将计数器输出的8421BGD码转换为数码管需要的逻辑状态,并且输出数码管的片选信号和为选信号。所谓动态扫描显示方式是在显示某一位LED显示块的数据的时候,让其它位不显示,然后再显示下一位的数据。只要保证每一位显示的时间间隔不要太大,利用人眼的视觉暂留的现象,就可以造成各位数据同时显示的假象。一般每一位的显示时间为10mso3、单元电路的设计,仿真与分析(1)分频模块(fenpin1)程序:libraryieee;useieee.stdlogic1164.all;entityfenpinisport(clk6:instd_logic;ql0

8、00,q5,ql:outstd_logic);endfenpin;architecturecccarcoffenpinissignalx:stdlogic;beginprocess(clk6)variableent:integerrange0to24999;beginifclk6,eventandclk6三,1,thenifcnt24999thenent:=cnt+l;elseent:=0;x=notx;endif;endif;endprocess;ql000=x;process(x)variablecnt2:integerrange0to999;variabley:std_logic;beg

9、inif,eventandx=,thenifcnt2999thencnt2:=Cnt2+1;ql=0;elseCnt2:=0;ql=T;endif;endif;if,eventandx=itheny:=noty;endif;q5=y;endprocess;endccc_arc;2)仿真波形:3)仿真结果分析:产生用于计时,扫描输入,扫描显示,以及蜂鸣器所需的各个频率的信号。2秒模块(second1)程序:libraryieee;useieee.stdlogic1164.all;useieee.stdlogicunsigned,all;entitysecondisport(clkl,enl:in

10、stdlogic;qa:outstd_lOgiC_vector(3downtoO);col:outstdlogic;qb:outstd_logic_vector(3downto0);endsecond;architectureccofsecondissignalcout2,coutl:std_logic_vector(3downto0);signalmm:std_logic;beginprocess(clkl,enl)beginifenl三,thencout2=zz0000zz;coutK=zzOOOOzz;elsif(clkeventandclkl三,)thenif(cout2=5andco

11、ut1=8)thencout2=cout2coutl=coutll;mm=,;elsif(cout2=5andcoutl=9)thencout2=z,0000z;cout1=,0000;mm=0;elseif(cout1=9)thencout2=cout2+l;coutK=zzOOOOzz;mm=,0,;elsecout2=cout2;coutl=cout1+1;mm=,0,;endif;endif;endif;endprocess;col-mm;qa=cout2;qb=coutl;endcc;2)仿真波形:MasterTimeBar:11.975ns史Poi二WS一EndTJ TJ TJ T

12、J TJ TJ TJ TJ 4 0 1 2 3 0 1 2 3 k 1 1 L L L L L L L L 1 O nAAa a Abbbbb C Ceq QqqqqqqqqVlu211.98n,BOBOBOUOBOBOBOBOUOBOBOBOBO3)仿真结果分析:该模块实际是一个六十进制计数器,而六十秒为一分钟,故用此模块可以作为秒局部设计,通过观察可知,仿真波形是正确可行的。3分模块(minute)1)程序:libraryieee;useieee.stdlogic1164.all;useieee.stdlogicunsigned,all;entityminuteisport(clk2,en

13、2:instdlogic;qc:outstd_logic_vector(3downto0);co2:outstdlogic;qd:outstd_logicvector(3downto0);endminute;architecturebbofminuteissignalcout2,coutl:std_logic_vector(3downto0);signalcc:stdlogic;beginprocess(clk2,en2)beginifen2=*thenif(clk2,eventandclk2,)thenif(cout2=5andcoutl=8)thencout2=cout2;coutl=co

14、utl+l;cc=,;elsif(cout2=5andcoutl=9)thenCOUt2=0000;COUtl=0000”;cc=0;elseif(coutl=9)thencout2=cout2+l;coutl=z/OOOOz,;cc=,0,;elsecout2=cout2;coutl=cout1+1;cc=,0,;endif;endif;endif;endif;endprocess;co2=cc;qc=cout2;qd=coutl;endbb2)仿真波形:MasterTimeBar:11.975ns则脸自2238USinterval:Sta化clk2co2ext2qcqc0QC1qc2qc3qdqdOqdlqd2qd317.85US58.00us68.甲US78.甲us88.8JUS11ju三三mR11三uuum三三11Jumrmmmm三三w,门:-:1:njrmnrmrLLLmmrLnrLrmrL1.rn111FnrnEL111IIIIIIIIILnnnr3)仿真结果分析:此模块实际也是一个六十进制的计数器模块,六十分钟即为一个小时,用此模块就成功解决了分设计模块这个难题。从仿真波形可知,该设计时正确的。4时模块(hour)1)程序:

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 高等教育 > 工学

copyright@ 2008-2023 yzwku网站版权所有

经营许可证编号:宁ICP备2022001189号-2

本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!